Design and analysis of double-gate MOSFETs for ultra-low power radio frequency identification (RFID) : device and circuit co-design

Recently, double-gate MOSFETs (DGMOSFETs) have been shown to be more otpimal for ultra-low power circuit design due to the improved subthreshold slope and the reduced leakage current compared to bulk CMOS. However, DGMOSFETs for subthreshold circuit design have not been much explored in comparison t...

Full description

Saved in:
Bibliographic Details
Main Authors: Vaddi, Ramesh., Agarwal, Rajendra P., Dasgupta, Sudeb., Kim, Tony Tae-Hyoung
Other Authors: School of Electrical and Electronic Engineering
Format: Article
Language:English
Published: 2012
Subjects:
Online Access:https://hdl.handle.net/10356/94092
http://hdl.handle.net/10220/7491
Tags: Add Tag
No Tags, Be the first to tag this record!
Institution: Nanyang Technological University
Language: English
Description
Summary:Recently, double-gate MOSFETs (DGMOSFETs) have been shown to be more otpimal for ultra-low power circuit design due to the improved subthreshold slope and the reduced leakage current compared to bulk CMOS. However, DGMOSFETs for subthreshold circuit design have not been much explored in comparison to those for string inversion-based design. In this paper, various configurations of DGMOSFETs, such as tied/independent gates and symmetric/asymmetric gate oxide thicknes are explored for ultra-low power and high efficient radio frequency identification (RFID) design. Comparison of bulk CMOS with DGMOSFETs has been conducted in ultra-low power subthreshold digital logic design and rectifier desgin, emphasizing the scope of the nano-scale DGMOSFET technology for future ultra-low power systems. The DGMOSFET-based subthreshold logic improves energy efficiency by more than 40% compared to the bulk CMOS-based logic at 32nm. Among the various DGMOSFET configurations for RFID rectifiers, symmetric tied-gate DGMOSFET has the best power conversion efficiency and the lowest power consumption.